use std.textio.all;entity z is end;architecture behavior of z is begin process variable l:line;begin readline(input,l);write(l,LF&l.all);writeline(output,l);end process;end;