use std.textio.all;entity j is end;architecture behavior of j is begin process variable l,m:line;begin while not(endfile(input))loop readline(input,l);write(m,l.all);end loop;write(output,m.all);wait;end process;end;