entity a is end;architecture b of a is begin end;